电子束光刻机的分类、现状及发展

随着最近半导体产业的火热,普通老百姓也开始关注起光刻机和光刻胶产业以及我国的光刻机光刻胶的发展情况。这里我找到一篇来自于湖南大学段辉高老师课题组梁惠康2022年11月发表在科技导报上的文章。段老师在电子束光刻方面做了很多非常有意义且有意思的科研工作,欢迎大家关注段老师的研究方向。

并截取其中部分内容分享给大家,欢迎大家查看作者原文:梁惠康, 段辉高. 电子束光刻设备发展现状及展望[J]. 10.1016/j.cell.2022.04.036科技导报, 2022, 40(11): 33-44; doi: 10.3981/j.issn.1000-7857.2022.11.004  

电子束光刻设备的历史和分类

电子束光刻技术起源于扫描电子显微镜,至今已有70多年历史。早期的电子显微镜由于高能电子辐照产生碳污染,1958年美国麻省理工学院的研究人员首次利用这种电子引起的碳污染形成刻蚀掩模,制备出高分辨率的二维图形[13]。在20世纪60年代电镜装配图形发生器的配置已经可以加工微米或亚微米结构[14],但这种高分辨加工能力一开始并不是最受关注的,更受关注的是电子束光刻无需掩模产生任意图形的能力。1970年,Thomson CSF公司为电子束光刻系统引入了激光干涉定位系统,让大面积高精度写场拼接和套刻得以实现。后续在20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术[15]。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前广泛应用于产业界的变形束电子束光刻技术[16],使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提高。因此为了减少库伦相互作用,后续20世纪90年代贝尔实验室、IBM与尼康合作分别提出了2种不同的基于掩模的多束平行电子束投影曝光方案:SCALPEL[17]和PREVAIL[18]。由于同一时期浸没光刻技术在工业界的发展和应用使得基于掩模的投影曝光向无掩模的投影曝光方向发展,也就是可编辑的投影曝光,现在的多束电子束光刻技术。图 1展示了上述提及的电子束光刻设备发展历程与等效电子束数目的关系。

图1 电子束光刻设备的发展历程与等效电子束束斑数量的关系
SCALPEL—具有角度限制的投影式电子束光刻技术;PREVAIL—具有可变轴浸没透镜的电子束缩小成像技术;MAPPER—多光阑逐像素增强分辨率电子束光刻技术;PML2—无掩模投影式电子束光刻技术;MSB—多形状束电子束光刻技术;REBL—反射式电子束光刻技术

目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束3类,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,因此被广泛应用于各大高校和研发机构的基础科学研究中,而变形束和多束电子束光刻设备则主要服务于工业界的掩模制备中。

2 国外电子束光刻设备发展现状

2.1 高斯束电子束光刻设备

高斯束电子束光刻设备是与电镜设备最为相近的分类,是最早的电子束光刻设备种类,因其产生的电子束束斑能量为圆形高斯分布而得名。高斯束电子束光刻设备已经发展多年,相应技术已趋向稳定,相关零部件和技术研发机构已由设备厂商全面承担,且近几年来各代表性公司均无突出的产品更新。代表性的高斯束电子束光刻设备厂商包括德国Raith公司、日本JEOL公司、日本Elionix公司、日本Crestec公司、英国NanoBeam公司等。这些高斯束公司在经过多代产品迭代后,均向大面积、高电压、小束斑、高精度、智能化方向发展。如Raith公司的EBPG-5200型号设备就具备加工8 in(1 in=2.54 cm)晶圆的能力,加速电压可达到100 kV,电子束束斑小于3 nm,最小曝光结构和拼接、套刻精度分别为8、8、5 nm,具备自动调整最优束斑参数、自动调焦、自动标记对准等功能。而Elionix公司更是将高压和极小束斑的追求达到行业极致,其公司的ELS-BODEN Σ型号设备最高加速电压可达到150 kV,对应的最小束斑直径为1.5 nm。与变形束不同,高斯束无需遵循特定的图案切割规律,可以实现任意图形的曝光(尤其是曲线图形),可以用极小束斑实现极小的线宽,这对于微纳结构形状多样化和极端精度要求的基础科学研究和定制化加工应用有着重要意义。如图 2所示,Elionix公司在其加工案例中列举了4或5 nm最小线宽的加工能力,并展示了直径最小15 nm的圆环,可加工的光刻胶厚度大,加工结构侧壁陡直度良好,展示了高压高斯电子束极小尺度极高精度的加工能力。表 1介绍了目前主流高斯束电子束光刻设备公司的设备参数。

图 2 高压高斯电子束极小尺度极高精度加工能力
表 1 目前主流高斯束电子束光刻设备参数

2.2 变形束电子束光刻设备

高斯束电子束通过单点的方式扫描实现曝光,曝光速度慢;而变形束通过不同形状的光阑组合形成特定图形的面束斑,一次曝光较大的面,使得曝光效率大大提高。因此变形束电子束光刻设备在半导体产业界备受青睐,许多设备厂商都与掩模加工厂商直接合作,主要销售对象也主要以掩模加工厂商为主。目前国际上具备变形束电子束光刻设备开发和生产能力的厂商有日本的JEOL公司、Nuflare公司、Advantest公司和德国的Vistec公司,表 2详细展示了上述提及的变形束设备公司的最新产品性能参数。在掩模加工厂商所使用的变形束设备中,Nuflare公司的设备占据了市场份额的90% 以上,垄断了电子束光刻掩模制备设备市场[28]。因此在设备性能方面,Nuflare公司在垄断产业界变形束设备市场的期间,不断迭代推陈出新,其产品EBM-9500PLUS是唯一一个具备7/5 nm掩模制备能力的变形束设备,其高达1200 A·cm-2的束流密度远超同类产品,使曝光效率极大提高。EBM-9500PLUS已得到各掩模制备厂商的认可,可实现半周期30 nm以下结构的精准曝光,满足掩模制备过程中复杂图形的快速高精度制备。图 3(a)展示了EBM-9500PLUS加工30~18 nm半周期光栅结构的能力[29],图 3(b)~图 3(d)展示了基于逆向光刻技术(inverse lithography technology,ILT)设计的掩模图案曝光结果[30]。而其他变形束公司最优产品均已多年未更新改进,束流密度多停留100 A·cm-2以下,不满足产业界掩模制备的效率要求。此外,除了在设备上追求极高的控制精度,Nuflare公司近几代的变形束设备中逐渐引入热效应[31]、雾化效应、充电效应[3233]等多效应的电子束与材料相互作用仿真模型以补偿相应误差,使得其设备的曝光结构精度领跑行业。

表 2 目前主流变形束电子束光刻设备参数
图 3 日本Nuflare EBM-9500PLUS加工能力
(a)利用EBM-9500PLUS加工的30~18 nm半周期光栅结构;(b)~(d)利用EBM-9500PLUS加工的逆向光刻掩模图案

2.3 多束电子束光刻设备

多束电子束光刻系统是目前电子束光刻设备中最新的研究成果。随着节点的不断缩小,由于衍射效应的影响,掩模制造商在光掩模上使用各种分辨率增强技术,如光学邻近校正(optical proximity correction,OPC)、逆向光刻技术、相移掩模。这些技术在提高光刻精度和保真度的同时,也增加了掩模的复杂性,如在光学邻近效应中广泛应用到亚分辨率的辅助结构,在逆向光刻技术中存在大量曲线图形。写入时间是掩模生产中最为关键的指标,更复杂图形的曝光对于变形束光刻而言需要划分的图形数据量更大,曝光时间更长,这是产业界所难以接受的。因此,Nuflare的变形束加工为了满足上述技术带来的掩模复杂性的同时保证写入速度,其电流密度已经从2006年的70 A·cm-2提高到最新的1200 A·cm-2,已达到了物理极限。因此多束电子束是目前继续推进更小节点掩模写入速度提升的唯一选择。

在过去的20多年里,多束电子束光刻技术经历了概念、零部件、原型机、商用设备漫长的研发过程,最终在7 nm节点开始进入掩模制备市场[41]。在多束电子束光刻发展过程中具有代表性的公司包括荷兰MAPPER公司、奥地利IMS Nanofabrication公司、日本Nuflare公司、美国KLA公司、德国Vistec公司。其中,MAPPER公司曾一度具备多束电子束光刻设备最顶尖的研发技术(已被荷兰ASML收购),其研发目标是应用到集成电路的晶圆级直接曝光中,因此在EUV技术占据市场后研发热度降低;而IMS(PML2方案)和Nuflare公司为目前唯二还保留多束电子束研发并具备商业化设备制造能力,其应用方向均为掩模制备;KLA公司提出的反射式(REBL)方案[42]及Vistec公司提出的多形状束(MSB)方案[43]并没有得到持续和足够的研发投入而逐渐退出多束研发的舞台。后续介绍主要围绕MAPPER、IMS和Nuflare公司的方案进行介绍,各公司代表性多束设备产品参数如表 3所示。

表 3 3家主流多束电子束光刻公司设备参数

MAPPER公司是最早开始研发多束电子束光刻系统的公司,基于其在微机电系统(micro-electro-mechanical system,MEMS)和互补金属氧化物半导体技术(complementary metal oxide semiconductor,CMOS)的突破成功开发了5 keV的多束电子束系统,其中FLX-1200型号设备原理如图 4(a)所示[45]。高亮度阴极产生的电子束经过扩束后点亮光阑阵列形成多束电子束,分束后电子束通过束闸阵列控制其独立偏转,结合后续的截止板可以实现独立电子束的通断,具体为未偏转电子束的通过和偏转电子束的消隐。分束后的7×7束电子束为一组电子束组,由微透镜阵列上相应的单元透镜进行缩放,并由偏转阵列实现各电子束组的扫描偏转。各部件阵列的制备均依赖于MEMS制备技术和CMOS技术实现各个尺寸的孔阵制备以及对应控制电路的集成。最终在晶圆上形成7×7束斑为25 nm,周期为80 nm的电子束组,204×13个电子束组以150 μm为周期排布形成狭缝,最终5条狭缝组成整个多束曝光阵列。电子束组以特定的方式错位排布,最终只需要单个电子束组在垂直扫描方向上偏转2 μm即可完成26 mm宽的曝光带。而在电子束组内,同样以特定方式旋转排布7×7电子束,以小于束斑直径的网格(3.5/2.25 nm)进行冗余曝光[4448]

图 4 3种多束设备原理示意

而IMS公司的多束系统与MAPPER有很大的不同。首先,在图 4(b)中可以看到其电子枪处所用加速电压为5 kV,经过中间加速电极最终到达晶圆表面的电子能量为50 keV。同时,在同样经过光阑、束闸阵列分束后,多束电子束共用一个透镜系统和偏转系统进行缩放和扫描曝光,搭配消隐用的消隐板也简化为单一光阑。相对于MAPPER,IMS的设计极大程度减少了多束系统中有着复杂工艺和低良率阵列器件的需求,简化了整体的设计。以IMS公司MBM-101设备为例,其最终在晶圆上形成512×512束束斑直径为20 nm、束斑间距为160 nm的束斑阵列,阵列大小为82 μm×82 μm。曝光时,束斑阵列以锯齿状扫描路径填充160 nm的间距[49],填充网格为5 nm×5 nm,也为冗余曝光,剂量通过单次曝光的0~15个剂量等级赋予(共15×16+1=241个剂量等级)[5051]

Nuflare公司的设备与IMS公司类似,同样也采用单一透镜和偏转系统进行统一,稍有不同的是,其电子枪处的加速电压为50 kV,因此无需静电加速透镜部分,进一步简化系统,如图 4(c)所示。而光阑和束闸分布均与IMS相同,在晶圆上形成512×512束直径为10 nm、周期为160 nm的电子束阵列。而其曝光网格为与束斑相同为10 nm,因此其曝光并不是冗余曝光,单点剂量为10位剂量对应1024个剂量等级[51]

由上述3家多束曝光的原理介绍可以看出,多束电子束光刻以多帧电子束点阵进行微位移来填充设定网格,最终完成一定区域的曝光。每帧电子束点阵由相应的曝光图案和规则分解后得到相应的点阵图形,每帧的停留时间一定,扫描的速度恒定,使得曝光任意图形所需时间恒定,与曝光的图形复杂度无关。IMS公司在其多束设备验证中多次展示了快速曝光高保真的OPC和ILT图形能力[4152],如图 5所示。而对于单点曝光的高斯以及变形束电子束光刻,图形越复杂,切割的数据量越大,所需曝光的点数越多,因此在曝光OPC和ILT等具备曲边复杂图形时曝光时间会极大增加。此外,多束电子束光刻由于电子束点阵单次大面积的曝光,因此其对束流密度的要求远小于变形束,也使得多束电子束光刻的热效应相对高束流变形束要小得多[53]


图 5 MBMW-101加工能力

限于文章篇幅,我们在这就不对国产电子束光刻机发展进行转述,如果有兴趣,请您访问原文查看。

最后,感谢大家对半导体和微纳产业的关注,更多信息请关注litho wiki其他部分内容……

原文作者梁惠康, 段辉高,湖南大学, 本文在原作者的基础上摘取部分内容发布,仅供参考。
原文应用:梁惠康, 段辉高. 电子束光刻设备发展现状及展望[J]. 10.1016/j.cell.2022.04.036科技导报, 2022, 40(11): 33-44; doi: 10.3981/j.issn.1000-7857.2022.11.004  
资料来源:http://html.rhhz.net/kjdb/20221105.htm

Visits: 1082

Leave A Comment?